]> Witch of Git - minecraft-eda/blob - example/counter.ys
Add example counter
[minecraft-eda] / example / counter.ys
1 read_verilog -sv example/counter.sv
2 read_liberty minecraft.lib
3 synth
4 dff2dffe
5 abc -liberty minecraft.lib
6 stat
7 show counter