]> Witch of Git - minecraft-eda/history - genlib.py
Update benchmark to match the yosys 4-bit counter
[minecraft-eda] / genlib.py
2019-04-27 Cassie JonesGenerate the libery with a script