From c56ebb2866651095ea0b6dbd155240bc9c1d2270 Mon Sep 17 00:00:00 2001 From: Cassie Jones Date: Fri, 3 May 2019 12:31:51 -0700 Subject: [PATCH] Update benchmark to match the yosys 4-bit counter The other minecraft logic synthesis projects have used this as their placement benchmark, so it's good to match them. --- .gitignore | 2 ++ example/counter.sv | 8 +++----- example/counter.ys | 1 + 3 files changed, 6 insertions(+), 5 deletions(-) diff --git a/.gitignore b/.gitignore index cc2f533..8809313 100644 --- a/.gitignore +++ b/.gitignore @@ -1,2 +1,4 @@ /mc-mask/target minecraft.lib +*.hex +*.blif diff --git a/example/counter.sv b/example/counter.sv index 36f83e4..a775501 100644 --- a/example/counter.sv +++ b/example/counter.sv @@ -1,15 +1,13 @@ -module counter #(parameter WIDTH=3, parameter MAX=(1<