]> Witch of Git - nan-gate/blob - example/example.sv
Fix synth_nan help message
[nan-gate] / example / example.sv
1 module hello #(parameter WIDTH = 4, parameter MAX = 15) (input clock, reset, output max);
2
3 logic [WIDTH-1:0] counter;
4 assign max = counter == MAX;
5 always @(posedge clock) begin
6 if (reset || max) counter <= 0;
7 else counter <= counter + 1;
8 end
9
10 endmodule