]> Witch of Git - minecraft-eda/tree - example/
Update benchmark to match the yosys 4-bit counter develop
[minecraft-eda] / example /
drwxr-xr-x   ..
-rw-r--r-- 246 counter.sv
-rw-r--r-- 152 counter.ys
-rw-r--r-- 1077 seg7.sv