]> Witch of Git - minecraft-eda/blob - makefile
Generate the libery with a script
[minecraft-eda] / makefile
1 synth: example/counter.ys minecraft.lib example/counter.sv
2 yosys $<
3
4 minecraft.lib: genlib.py
5 python3 $< > $@