]> Witch of Git - minecraft-eda/shortlog
minecraft-eda
2019-05-03 Cassie JonesUpdate benchmark to match the yosys 4-bit counter develop
2019-04-29 Cassie JonesAdd romgen, a tool to generate ROMs for litho
2019-04-29 Cassie JonesAdd "lithography" binary that places blocks
2019-04-27 Cassie JonesGenerate the libery with a script
2019-04-27 Cassie JonesAdd a rust program to put designs into minecraft
2019-04-27 Cassie JonesAdd the beginning of a redstone liberty file
2019-04-27 Cassie JonesAdd example counter
2019-04-27 Cassie JonesAdd README and an example verilog file