]> Witch of Git - minecraft-eda/blob - .gitignore
Update benchmark to match the yosys 4-bit counter
[minecraft-eda] / .gitignore
1 /mc-mask/target
2 minecraft.lib
3 *.hex
4 *.blif