]> Witch of Git - minecraft-eda/blob - example/counter.ys
Update benchmark to match the yosys 4-bit counter
[minecraft-eda] / example / counter.ys
1 read_verilog -sv example/counter.sv
2 read_liberty -lib minecraft.lib
3 synth
4 dff2dffe
5 abc -liberty minecraft.lib
6 stat
7 show counter
8 write_blif counter.blif