]> Witch of Git - minecraft-eda/commit
Update benchmark to match the yosys 4-bit counter develop
authorCassie Jones <code@witchoflight.com>
Fri, 3 May 2019 19:31:51 +0000 (12:31 -0700)
committerCassie Jones <code@witchoflight.com>
Fri, 3 May 2019 19:31:51 +0000 (12:31 -0700)
commitc56ebb2866651095ea0b6dbd155240bc9c1d2270
treece2c5fed3ea8647acbdd4cd33253cdd483432ab0
parentb657f6cdd74f8ccbcc7b001949eac1512c0ae5cf
Update benchmark to match the yosys 4-bit counter

The other minecraft logic synthesis projects have used this as their
placement benchmark, so it's good to match them.
.gitignore
example/counter.sv
example/counter.ys